Active Microfluidic Cooling of Integrated Circuits

نویسندگان

  • Carlos H. Hidrovo
  • Kenneth E. Goodson
چکیده

The thermal management of high heat fluxes is a critical roadblock in the way of higher-performance microelectronics. The ongoing reduction in microtransistor size translates into heat fluxes comparable to those encountered in nuclear reactions and rocket nozzles, but under much severer temperature constraints. Although the average heat flux may remain in the vicinity of 100 to 300 W/cm, the peak heat flux at localized hot spots may approach or exceed 1 kW/cm. In order to handle these heat fluxes properly at lower operating temperatures, integrated cooling technologies that remove heat closer to the source are required. Microfluidic cooling in a heat sink built within or attached directly to the silicon chip is one route to address this problem. Three primary microfluidic technologies have the potential to accommodate this very large heat flux. These include microjet impingement, spray cooling, and microchannel heat sinks [1]. Microjet impingement relies on the use of a high-speed liquid jet that emerges from a nozzle to reduce thermal boundary-layer thicknesses and increase convection coefficients at the incident surface. Despite being one of the leading cooling technologies, microjet impingement has serious drawbacks in terms of fluid recovery for open systems and temperature uniformity. Therefore, intricate architectures using multiple microjets and specially designed outlet ports are needed. This leads to designs that are highly optimized for very specific thermal operating conditions and are therefore not robust to time and spatially varying thermal loads. Similar to microjet impingement, spray cooling relies on the impingement of liquid onto the heated surface. Spray cooling relies on a blanket of liquid droplets rather than a continuous liquid jet striking the heated source, providing better surface coverage and temperature uniformity. Furthermore, rather than relying on the convective transport capabilities of the thin liquid film formed when the droplets hit the surface, spray cooling is achieved through the evaporation of the aforementioned film. The latent heat associated with the phase change translates into lower surface-temperature requirements, another advantage over microjet impingement cooling. This makes spray cooling one of the leading contenders in the race to achieve heat-flux removal values exceeding 1 kW/cm. However, it has serious drawbacks in terms of the pressures required to achieve proper spray droplet breakup and the distribution needed to exploit the cooling potential of this technology fully.

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

An efficient channel clustering and flow rate allocation algorithm for non-uniform microfluidic cooling of 3D integrated circuits

Heat removal problem has been a bane of three dimensional integrated circuits (3DICs). Comparing with other passive cooling techniques, microfluidic cooling appears to be an ideal cooling solution due to its high thermal conductivity and scalability. Without regarding to the fact of non-uniform power distribution of integrated circuits, existing microfluidic cooling with uniform cooling effort ...

متن کامل

Thermal Characterization of Interlayer Microfluidic Cooling of Three-Dimensional Integrated Circuits With Nonuniform Heat Flux

It is now widely recognized that the three-dimensional (3D) system integration is a key enabling technology to achieve the performance needs of future microprocessor integrated circuits (ICs). To provide modular thermal management in 3D-stacked ICs, the interlayer microfluidic cooling scheme is adopted and analyzed in this study focusing on a single cooling layer performance. The effects of coo...

متن کامل

ELECTRICAL INTERCONNECT AND MICROFLUIDIC COOLING WITHIN 3D ICs AND SILICON INTERPOSER

Heat dissipation is a significant challenge for threedimensional integrated circuits (3D IC) due to the lack of heat removal paths and increased power density. In this paper, a 3D IC system with an embedded microfluidic cooling heat sink (MFHS) is presented. In the proposed 3D IC system, high power tiers contain embedded MFHS and high-aspect ratio (23:1) through-silicon-vias (TSVs) routed throu...

متن کامل

Integrated Microchannel Cooling for Three-Dimensional Electronic Circuit Architectures

The semiconductor community is developing three-dimensional circuits that integrate logic, memory, optoelectronic and radio-frequency devices, and microelectromechanical systems. These three-dimensional (3D) circuits pose important challenges for thermal management due to the increasing heat load per unit surface area. This paper theoretically studies 3D circuit cooling by means of an integrate...

متن کامل

Integrated electrofluidic circuits: pressure sensing with analog and digital operation functionalities for microfluidics.

Microfluidic technology plays an essential role in various lab on a chip devices due to its desired advantages. An automated microfluidic system integrated with actuators and sensors can further achieve better controllability. A number of microfluidic actuation schemes have been well developed. In contrast, most of the existing sensing methods still heavily rely on optical observations and exte...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 2008